Your browser does not support JavaScript!

Home    Approaching Ideal NoC Latency with Pre-Configured Routes  

Results - Details

Add to Basket
[Add to Basket]
Identifier uch.csd.msc//2007michelogiannakis
Title Approaching Ideal NoC Latency with Pre-Configured Routes
Alternative Title Προσέγγιση της Ιδανικής Καθυστέρησης σε Δίκτυα Εντός Chip μέσω Προκαθορισμού Διαδρομών
Author Michelogiannakis, George
Thesis advisor Κατεβαίνης, Μανόλης
Abstract In multi-core ASICs, processors and other compute engines need to communicate with memory blocks and other cores with latency as close as possible to the ideal of a direct buffered wire. However, current state of the art networks-on-chip (NoCs) suffer, at best, latency of one clock cycle per hop. We investigate the design of a NoC that offers close to the ideal latency in some preferred, run-time configurable paths. Processors and other compute engines may perform network reconfiguration to guarantee low latency over different sets of paths as needed. Flits in non-preferred paths are given lower priority than flits in preferred paths to enable the latter to provide low latency. To achieve our goal, we extend the “mad-postman” technique [1]: every incoming flit is eagerly (i.e. speculatively) forwarded to the input’s preferred output, if any. This is accomplished with the mere delay of a single pre-enabled tri-state driver. We later check if that decision was correct, and if not, we forward the flit to the proper output. Incorrectly forwarded flits are classified as dead, and are eliminated in later hops. We use a 2D mesh topology tailored for processor-memory communication, and a modified version of XY routing that remains deadlock-free. We also propose an extension which enables a switching node to switch to adaptive routing when its benefits are required. Our evaluation shows that, for the preferred paths, our approach offers typical latency around 500 ps versus 1500 ps for a full clock cycle at 667 MHz or up to 135 ps for an 1 mm ideal direct connect, in a 130 nm technology; non-preferred paths suffer a one clock cycle delay per hop when there is no contention, similar to that of other approaches. Performance gains are significant and can prove quite useful in other application domains as well.
Language English
Subject Network-on-chip, Pre-configured, Low-latency, Routes, CMP, System-on-chip
Issue date 2007-09-21
Date available 2007-10-24
Collection   School/Department--School of Sciences and Engineering--Department of Computer Science--Post-graduate theses
  Type of Work--Post-graduate theses
Views 495

Digital Documents
No preview available

Download document
View document
Views : 10